Welcome![Sign In][Sign Up]
Location:
Search - display vga vhdl

Search list

[VHDL-FPGA-Verilogvga_graph_st

Description: 该程序用vhdl编写的vga显示的小游戏,到时屏幕上会显示一个小球,一根棒子,一面墙,棒子可以通过按键控制来移动。而小球在不停的运动,遇到墙会反弹。-Game written by the program with VHDL VGA display, the screen will display a small ball, a stick, a wall, stick to move through the key control. Ball in constant motion, encountered the wall will bounce.
Platform: | Size: 792576 | Author: 陈志伟 | Hits:

[OtherVGA_Module

Description: LCD VGA显示原理 使用VHDL语言描述 已通过调试-LCD VGA display principle using VHDL description has been through debugging
Platform: | Size: 180224 | Author: 冉青 | Hits:

[VHDL-FPGA-Verilogvga_fpga

Description: 基于VHDL的FPGA设计,VGA显示设计。-VHDL for FPGA-based design, VGA display design.
Platform: | Size: 6144 | Author: shiyan chen | Hits:

[VHDL-FPGA-Verilogpicoblaze

Description: 基于Nexys3的picoblaze,实现了一个命令菜单,可以控制流水灯,VGA显示,交通灯。verilog,VHDL都有。-Based picoblaze Nexys3 achieve a command menu, you can control the water lights, VGA display, traffic lights. verilog, VHDL has.
Platform: | Size: 6043648 | Author: jiangjiaguo | Hits:

[VHDL-FPGA-Verilogball_game

Description: VHDL VGA 弹球游戏 基于Xilinx Spartan 3E的FPGA 通过VGA显示弹球游戏-VHDL VGA pinball game is based on Xilinx Spartan 3E FPGA pinball games via VGA display
Platform: | Size: 5120 | Author: 胡杨 | Hits:

[Graph program2f0d6763eae7

Description: yuv2rgb vhdl语言 可以将yuv格式图像转换为rgb格式,非常好用- The code can be converted to a YUV image data of RGB VGA monitor can display the data, R, G, B of the bit width of 4, the conversion speed.
Platform: | Size: 16384 | Author: 宋夏 | Hits:

[VHDL-FPGA-VerilogVGAtuxiangxianshi

Description: 用FPGA实现 VGA显示的图像显示控制器设计 用VHDL实现 硬件实现是屏幕上面出现彩色条纹-VGA display with FPGA image display controller design Using VHDL hardware implementation is colored stripes appear above the screen
Platform: | Size: 1285120 | Author: 懒猫 | Hits:

[VHDL-FPGA-Verilogplane_game

Description: 基于basys2的打飞机的小游戏,在ise13.4上用vhdl语言开发。通过VGA接口显示,能够显示分数,gameover等字样,己方飞机可控制左右移动,敌方飞机自动移动,碰边界会变方向变速。得分越高,速度越快,难度越大。-Based on basys2 play little game, on the ise13.4 using VHDL language development. Through the VGA interface display, can display the score, gameover words, such as their planes can control around mobile, the enemy planes automatically move, touch the boundary will change direction change. The higher the score, the faster the speed, the greater the difficulty.
Platform: | Size: 1894400 | Author: 范子健 | Hits:

[VHDL-FPGA-Verilogpingpangqiu

Description: 基于basys2的简单的乒乓球小游戏,通过ise13.4开发,使用语言VHDL,能够通过VGA在显示屏显示,能够实现双人对打,有计分功能。-Simple table tennis game, based on basys2 through ise13.4 development, using VHDL language, can through the VGA display shows, can achieve a double play, scoring function.
Platform: | Size: 570368 | Author: 范子健 | Hits:

[VHDL-FPGA-Verilogvga_display

Description: VHDL语言VGA显示代码,可以正常运行-VHDL language VGA display code
Platform: | Size: 152576 | Author: yuan | Hits:

[VHDL-FPGA-Verilogvgachar

Description: FPGA简单应用,VHDL程序,显示屏接口VGA驱动程序,供学习参考。-FPGA and simple application, VHDL program, VGA display interface drivers, and provide the reference for the study.
Platform: | Size: 411648 | Author: 浮云之上 | Hits:

[VHDL-FPGA-VerilogVGAdisplay

Description: 本系统编写了VHDL代码实现对对VGA协议的控制,可以在显示屏上显示分辨率为640*480,刷新频率为60Hz的彩条及彩色图片-The system is written VHDL code for VGA protocol for control can be displayed on the display screen with a resolution of 640* 480, refresh rate of 60Hz and a color picture of color bar
Platform: | Size: 12523520 | Author: 孙佳贝 | Hits:

[VHDL-FPGA-VerilogHanoiTower

Description: 使用Verilog HDL 以及VHDL语言,运用FPGA中的VGA显示原理以及键盘控制原理,开发汉诺塔简易游戏(The use of Verilog HDL and VHDL language, the use of FPGA in the VGA display principle and keyboard control principle, the development of Hanoi simple game)
Platform: | Size: 6129664 | Author: 〝奈我何、 | Hits:

[VHDL-FPGA-VerilogFlashlight

Description: complete fpga VHDL code for VGA display
Platform: | Size: 181248 | Author: sofa | Hits:
« 1 2 ... 5 6 7 8 9 10»

CodeBus www.codebus.net